Semiconductor

This industry comprises establishments primarily engaged in manufacturing semiconductors and other components for electronic applications. Examples of products made by these establishments are capacitors, resistors, microprocessors, bare and loaded printed circuit boards, electron tubes, electronic connectors, and computer modems.

Recent Posts

Cities and States Vie for Emerging Manufacturing

Date:

The emerging manufacturing sectors of semiconductors and electric vehicles are being heavily recruited by cities and states. The build out may permanently change supply lines.

Assembly Line

Nanoimprint lithography semiconductor manufacturing system that covers diverse applications with simple patterning mechanism

📅 Date:

🔖 Topics: Lithography

🏭 Vertical: Semiconductor

🏢 Organizations: Canon


On October 13, 2023, Canon announced today the launch of the FPA-1200NZ2C nanoimprint semiconductor manufacturing equipment, which executes circuit pattern transfer, the most important semiconductor manufacturing process. By bringing to market semiconductor manufacturing equipment with nanoimprint lithography (NIL) technology, in addition to existing photolithography systems, Canon is expanding its lineup of semiconductor manufacturing equipment to meet the needs of a wide range of users by covering from the most advanced semiconductor devices to the existing devices.

Canon’s NIL technology enables patterning with a minimum linewidth of 14 nm2, equivalent to the 5-nm-node3 required to produce most advanced logic semiconductors which are currently available. Furthermore, with further improvement of mask technology, NIL is expected to enable circuit patterning with a minimum linewidth of 10 nm, which corresponds to 2-nm-node.

Read more at Canon News

A NY startup aims to build hundreds of chip factories with prefab parts and AI

📅 Date:

✍️ Author: Alex Pasternack

🏭 Vertical: Semiconductor

🏢 Organizations: Nanotronics


To meet the world’s growing hunger for chips, a startup wants to upend the costly semiconductor fabrication plant with a nimbler, cheaper idea, one they believe can faster spread the manufacturing of the chips inside nearly everything we use: an AI-enabled chip factory that can be assembled and expanded modularly with prefab pieces, like high-tech Lego bricks.” “We’re democratizing the ownership of semiconductor fabs,” says Matthew Putman, referring to chip fabrication plants. Putman is the founder and CEO of Nanotronics, a New York City-based industrial AI company that deploys advanced optical solutions for detecting defects in manufacturing procedures.

Its new system, called Cubefabs, combines its modular inspection tools and other equipment with AI, allowing the proposed chip factories to monitor themselves and adapt accordingly—part of what Putman calls an “autonomous factory.” The bulk of the facility can be preassembled, flat-packed and put in shipping containers so that the facilities can be built “in 80% of the world,” says Putman.

Read more at Fast Company

Challenges In Ramping New Manufacturing Processes

Teradyne’s Tactics to Tackle Twenty-First Century Test

📅 Date:

✍️ Author: Max Maxfield

🏭 Vertical: Semiconductor

🏢 Organizations: Teradyne


My interest in Archimedes was spurred by a chat with Eli Roth, who is Product Manager for Smart Manufacturing at Teradyne. Eli was bringing me up to date with the recent launch of Teradyne’s Archimedes Analytics Solution. The Archimedes Analytics Solution employs a Zero-Trust (ZT) model in which data is encrypted throughout. For example, you don’t want anybody to take data off the tester and manipulate it before shipping it out. Thus, Teradyne ensures that all the data coming off the tester is genuine and is essentially “stamped” as being “Teradyne known good”; that is, this is genuine data that came directly off the tester and cannot be manipulated by nefarious scoundrels—it’s data customers can count on.

Two main usage scenarios are depicted below. In the first scenario, data from a Teradyne tester is streamed directly to Teradyne’s edge device in the form of the UltraEdge2000. Featuring the lowest latency, highest performance parallel compute process Teradyne offers, the UltraEdge2000 lives directly in the test cell, thereby providing real-time analytics and real-time actionable data that can be used to make real-time decisions. In addition to Teradyne’s out-of-the-box analytical solutions, customers can employ their own homegrown solutions or solutions from other analytics providers.

Read more at Electronic Engineering Journal

High-NA EUV Progress And Problems

Automatic Defect Classification in Wafer Fabrication

📅 Date:

✍️ Author: Quinn Killough

🏭 Vertical: Semiconductor

🏢 Organizations: Landing AI


Semiconductor and MEMS manufacturers around the world are maximizing their yield and reducing expenses through the use of LandingLens. These manufacturers empower advanced technologies and elevate everyday experiences. By implementing automatic defect classification solutions, one of leading manufacturer has achieved a remarkable labor cost reduction of at least 80% wherever these solutions are utilized.

The model training process is not only fast but also produces high-performing models. In addition, LandingLens’ cloud inference service seamlessly integrates into their internal systems. Once a model is deployed, images are automatically queued from their on-premise database and sent to the cloud where a prediction is made and written back to the database. These predictions are used for process control or for making decisions on whether to scrap or not. As a result, a large number of wafer images can now be classified in minutes with increased precision compared to hours.

Read more at Landing AI Blog

Using ML For Improved Fab Scheduling

📅 Date:

✍️ Author: Katherine Derbyshire

🔖 Topics: Production Planning, Machine Learning

🏭 Vertical: Semiconductor

🏢 Organizations: GlobalFoundries


The exact number of available tools for each step varies as tools are taken offline for maintenance or repairs. Some steps, like diffusion furnaces, consolidate multiple lots into large batches. Some sequences, like photoresist processing, must adhere to stringent time constraints. Lithography cells must match wafers with the appropriate reticles. Lot priorities change continuously. Even the time needed for an individual process step may change, as run-to-run control systems adjust recipe times for optimal results.

At the fab level, machine learning can support improved cycle time prediction and capacity planning. At the process cell or cluster tool level, it can inform WIP scheduling decisions. In between, it can facilitate better load balancing and order dispatching. As a first step, though, all of these applications need accurate models of the fab environment, which is a difficult problem.

The GlobalFoundries group demonstrated the effectiveness of neural network methods for time constraint tunnel dispatching. The relationship between input parameters and cycle time is complex and non-linear. As discussed above, machine learning methods are especially useful in situations like this, where statistical data is available but exact modeling is difficult.

Read more at Semiconductor Engineering

How SCARA, Six-Axis, and Cartesian Pick-And-Place Robotics Optimize and Streamline Electronics Manufacturing Processes

📅 Date:

✍️ Author: Lisa Eitel

🔖 Topics: Industrial Robot

🏭 Vertical: Computer and Electronic, Semiconductor

🏢 Organizations: DigiKey Electronics


Hastening the adoption of robotics in semiconductor manufacture are burgeoning classes of six-axis robots, selective compliance assembly robot arms (SCARAs), cartesian machinery, and collaborative robots featuring reconfigurable or modular hardware as well as unifying software to greatly simplify implementation. These robots and their supplemental equipment must be designed, rated, and installed for cleanroom settings or else risk contaminating delicate wafers with impurities. Requirements are defined by ISO 14644-1:2015, which classifies cleanroom air cleanliness by particle concentration.

Advanced cleanroom-rated robotic end-of-arm tooling (EoAT or end effectors) such as grippers are core to semiconductor production. Here, EOATs must have high dynamics and the ability to execute tracing, placing, and assembling with exacting precision. In some cases, EoAT force feedback or machine vision boosts parts-handling accuracy by imparting adaptive capabilities — so pick-and-place routines are quickly executed even if there’s some variability in workpiece positions, for example. Such sensor and feedback advancements can sometimes render the complicated electronics-handling fixtures of legacy solutions unnecessary.

Read more at DigiKey Articles

Athinia™ expands partnerships to include Tokyo Electron for real-time collaborative analytics of semiconductor fab equipment performance

📅 Date:

🔖 Topics: Partnership

🏭 Vertical: Semiconductor

🏢 Organizations: Athinia, Tokyo Electron, Palantir


Athinia™ announced that its industry-wide many-to-many data ecosystem to accelerate digital transformation and further enable cutting-edge AI is being adopted by more organizations globally. Tokyo Electron Limited (TEL;TYO:8035), a leading capital equipment manufacturer of cutting-edge semiconductor production equipment and technical service will use Athinia™ as a key solution to accelerate its digital and cloud transformation.

By granting access to limitless analytics capabilities, including sophisticated AI and machine learning frameworks, the Athinia™ platform enables the participants in the semiconductor industry to differentiate based on quality, performance, and time to market. Feedback is provided in real time. Powered by Palantir, the platform allows users to structure and analyze data from disparate sources, generate powerful insights, and support operational decisions, all while helping to ensure that sensitive data is processed in accordance with applicable data privacy rules, regulations, and norms.

Read more at PR Newswire

⛓️ ASML says decoupling chip supply chain is practically impossible

📅 Date:

✍️ Author: Cheng Ting-Fang

🏭 Vertical: Semiconductor

🏢 Organizations: ASML


“We do not believe in ASML that decoupling is possible. We believe this will be extremely difficult and extremely expensive,” Fouquet told Nikkei at the company’s headquarters. “It’s a matter of time until people realize that the only way to be successful in semiconductors is through cooperation.” The secret to ASML’s success, according to Fouquet, is its longtime collaboration with critical global suppliers such as Zeiss and Cymer and the support from its top chipmaking customers, Taiwan Semiconductor Manufacturing Co. and Intel.

The bulk of ASML’s production, meanwhile, is done in one place, its headquarters, and Fouquet said it will likely keep the majority – about 80% to 90% – of its production and integration there until at least 2026. “It’s very important for us to keep R&D and manufacturing together,” the senior executive said.

Read more at Nikkei Asia

Samsung to apply AI, big data tech to entire chipmaking process

📅 Date:

✍️ Author: Jeong-Soo Hwang

🔖 Topics: AI

🏭 Vertical: Semiconductor

🏢 Organizations: Samsung


In partnership with the Samsung Advanced Institute of Technology (SAIT), Samsung’s Device Solutions (DS) division, which oversees its semiconductor business, will lead the company’s efforts to broaden the use of AI throughout the chipmaking process, sources familiar with the matter said on Monday. Under the plan, Samsung will seek to apply AI tech to DRAM design automation, chip material development, foundry yield improvement, mass production and chip packaging.

Specifically, the company hopes its AI tech will determine the cause of unnecessary wafer losses, optimize the AI-based manufacturing process and analyze DRAM product defects, sources said.

Read more at Korea Economic Daily

Tokyo Electron Develops Memory Channel Hole Etch Technology That Enables Ultra-fast 10-µm-deep Etching for 3D NAND Flash with Over 400 Layers and an 84% Reduction of Global Warming Potential

📅 Date:

🏭 Vertical: Semiconductor

🏢 Organizations: Tokyo Electron


Tokyo Electron announced that its development team at Tokyo Electron Miyagi—the development and manufacturing site for its plasma etch systems—has developed an innovative etch technology capable of producing memory channel holes in advanced 3D NAND devices with a stack of over 400 layers. The new process developed by the team has brought dielectric etch application to the cryogenic temperature range for the first time, producing a system with exceptionally high etch rates. The innovative technology not only enables a 10-µm-deep etch with a high aspect ratio* in just 33 minutes, but also can reduce the global warming potential by 84% compared with previous technologies. The geometry of the etched structure is quite well-defined as shown in the figure 1. Potential innovations enabled by this technology will spur creation of 3D NAND flash memory with even larger capacity.

Read more at Toyko Electron News

Why vibration control is key to semiconductor manufacturing

📅 Date:

🔖 Topics: Facility Design

🏭 Vertical: Semiconductor

🏢 Organizations: Mason UK, Asgard Engineering


Although these manufacturing sites can be vast, most of the equipment is not directly used in the manufacture of silicon chips. Manufacturing at the nanoscale requires highly controlled conditions, so the fabs require an extensive range of heating, cooling and filtration systems. This plant equipment makes up approximately 90% of the equipment located at a typical fab.

This equipment ensures the correct temperature, humidity level and particulate level for the optimal production of chips. However, while solving one problem, it also introduces another. Mechanical systems and HVAC systems all generate vibration which, if left unmitigated, could disrupt sensitive precision manufacturing processes and interfere with chip production. ‘‘Vibration control is key to making these things work,’’ Adam explained.

One of the unique features of this project was the vast scale. In total, there were approximately 130 kilometres of piping and 3.2 kilometres of ductwork. The project therefore required a staggering 3,800 mounts. ‘‘I’ve worked on all sorts of projects at this stage of my career, but nothing can touch this one in terms of scale. Nothing is even close,’’ Adam reflected.

Read more at The Manufacturer

The Impact Of Machine Learning On Chip Design

AutoDMP Finds Efficient Ways To Place Transistors On Silicon Chips

📅 Date:

🔖 Topics: Electronic Design Automation

🏭 Vertical: Semiconductor

🏢 Organizations: NVIDIA


Macro placement is a critical very large-scale integration (VLSI) physical design problem that significantly impacts the design powerperformance-area (PPA) metrics. This paper proposes AutoDMP, a methodology that leverages DREAMPlace, a GPU-accelerated placer, to place macros and standard cells concurrently in conjunction with automated parameter tuning using a multi-objective hyperparameter optimization technique. As a result, we can generate high-quality predictable solutions, improving the macro placement quality of academic benchmarks compared to baseline results generated from academic and commercial tools. AutoDMP is also computationally efficient, optimizing a design with 2.7 million cells and 320 macros in 3 hours on a single NVIDIA DGX Station A100. This work demonstrates the promise and potential of combining GPU-accelerated algorithms and ML techniques for VLSI design automation

Read more at NVIDIA Research

Pattern-Shaping System Speeds Up Chip Production

📅 Date:

✍️ Author: Anton Shilov

🔖 Topics: extreme ultraviolet lithography

🏭 Vertical: Semiconductor

🏢 Organizations: Applied Materials


Applied Materials has introduced its new Centura Sculpta pattern-shaping system that promises to provide a cost-effective alternative to extreme ultraviolet (EUV) lithography double patterning used to print dense interconnect lines and vias. As a result, the solution can reduce the number of EUV steps, production complexity and costs while potentially improving yields.

To keep advancing transistor performance, power consumption and density, chipmakers must adopt more sophisticated process technologies with tighter critical dimensions. Usage of dual EUV exposure is inevitable to print smaller features with 3-nm, 2-nm and thinner nodes. But double EUV patterning is expensive, lengthy and resource-consuming.

Read more at EE Times

How Chip Giant AMD Finally Caught Intel

Designing in the Age of AI

Development of New Technology for Wastewater Treatment for Semiconductor Production

📅 Date:

🔖 Topics: Sustainability

🏭 Vertical: Semiconductor

🏢 Organizations: Korea Institute of Science and Technology


Alcohols are used to remove impurities on the surface of semiconductors or electronics during the manufacturing process, and wastewater containing alcohols is treated using reverse osmosis, ozone, and biological decomposition. Although such methods can lower the alcohol concentration in wastewater, they are ineffective at completely decomposing alcohols in wastewater with a low alcohol concentration. This is because alcohol is miscible in water, making it impossible to completely separate from alcohol using physical methods, while chemical or biological treatments are highly inefficient. For this reason, wastewater with a low alcohol concentration is primarily treated by diluting it with a large amount of clean water before its discharge.

The research team employed Fenton oxidation that uses oxidizing agents and catalysts during the advanced oxidation process for water treatment. Usually alcohols were used as reagents to verify radical production during Fenton oxidation in other advanced oxidation process (AOP) studies, they were the target for removal from semiconductor wastewater in this research. This water treatment technology is expected to dramatically reduce the cost and water resources invested into the treatment of semiconductor wastewater. In the past, clean water with a volume 10 times higher than that of the wastewater under treatment was required for dilution of the wastewater in order to reduce the alcohol concentration of 10 ppm in the wastewater to less than 1 ppm.

Read more at Korea Institute of Science and Technology News

Could Reinforcement Learning play a part in the future of wafer fab scheduling?

📅 Date:

✍️ Author: Marcus Vitelli

🔖 Topics: Reinforcement Learning

🏭 Vertical: Semiconductor

🏢 Organizations: Flexciton


However, as the use of RL for JSS problems is still a novelty, it is not yet at the level of sophistication that the semiconductor industry would require. So far, the approaches can handle standard small problem scenarios but cannot handle flexible problems or batching decisions. Many constraints need to be obeyed in wafer fabs (e.g., timelinks and reticle availability) and it is not easily guaranteed that the agent will adhere to them. The objective set for the agent must be defined ahead of training, which means that any change made afterwards will require a repeat of training before new decisions can be obtained. This is less problematic for solving the instance proposed by Tassel et al., although their approach relies on a specifically modelled reward function which would not easily adapt to changing objectives.

Read more at Flexciton Blog

Making The Most Of Data Lakes

📅 Date:

✍️ Author: Anne Meixner

🔖 Topics: MLOps

🏭 Vertical: Semiconductor

🏢 Organizations: PDF Solutions, Synopsys


Data management and data analysis necessitates understanding the data storage and data compute options to design an optimal solution. This is made more difficult by the sheer volume of data generated by the design and manufacturing of semiconductor devices. There are more sensors being added into equipment, more complex heterogeneous chip architectures, and increased demands for reliability — which in turn increase the amount of simulation, inspection, metrology, and test data being generated.

Connecting different data sources is extremely valuable. It allows feed-forward decisions on manufacturing processes (package type, skipping burn-in), and feedback in order to trace causes of excursions (yield, quality, and customer returns).

“An understanding of the semiconductor manufacturing process and relationships throughout are essential for some applications,” said Jeff David, vice president of AI solutions at PDF Solutions. “For example, how can I use wafer equipment history and tool sensor data to predict the failure propensity of a chip at final test? How does time delay between process and test steps determine what data is useful in finding a root cause of a failure mode? What failure modes are predictable with which datasets? How do preceding process steps affect the data collected at a given process step?”

Read more at Semiconductor Engineering

Improving Yield With Machine Learning

📅 Date:

✍️ Author: Laura Peters

🔖 Topics: Machine Learning, Convolutional Neural Network, ResNet

🏭 Vertical: Semiconductor

🏢 Organizations: KLA, Synopsys, CyberOptics, Macronix


Machine learning is becoming increasingly valuable in semiconductor manufacturing, where it is being used to improve yield and throughput.

Synopsys engineers recently found that a decision tree deep learning method can classify 98% of defects and features at 60X faster retraining time than traditional CNNs. The decision tree utilizes 8 CNNs and ResNet to automatically classify 12 defect types with images from SEM and optical tools.

Macronix engineers showed how machine learning can expedite new etch process development in 3D NAND devices. Two parameters are particularly important in optimizing the deep trench slit etch — bottom CD and depth of polysilicon etch recess, also known as the etch stop.

KLA engineers, led by Cheng Hung Wu, optimized the use of a high landing energy e-beam inspection tool to capture defects buried as deep as 6µm in a 96-layer ONON stacked structure following deep trench etch. The e-beam tool can detect defects that optical inspectors cannot, but only if operated with high landing energy to penetrate deep structures. With this process, KLA was looking to develop an automated detection and classification system for deep trench defects.

Read more at Semiconductor Engineering

Finding Frameworks For End-To-End Analytics

📅 Date:

✍️ Author: Anne Meixner

🔖 Topics: Manufacturing Analytics

🏭 Vertical: Semiconductor


New standards, guidelines, and consortium efforts are being developed to remove these barriers to data sharing for analytics purposes. But the amount of work required to make this happen is significant, and it will take time to establish the necessary level of trust across groups that historically have had minimal or no interactions.

For decades, test program engineers have relied upon the STDF file format, which is inadequate for today’s use cases. STDF files cannot dynamically capture adaptive test limits, and they are unable to assist in real-time decisions at the ATE based upon current data and analytically derived models. In fact, most data analytic companies run a software agent on the ATE to extract data for decisions and model building. With ATE software updates, the agent often breaks, requiring the ATE vendor to fix each custom agent on every test platform. Emerging standards, TEMS and RITdb, address these limitations and enable new use cases.

But with a huge amount of data available in manufacturing settings, an API may be the best approach for sharing sensitive data from point of origin to a centralized repository, whether on-premise or in the cloud.

Read more at Semiconductor Engineering

Designing Billions of Circuits with Code

📅 Date:

🔖 Topics: Electronic Design Automation

🏭 Vertical: Semiconductor

🏢 Organizations: Cadence, Synopsys


Bringing EDA to silicon helped solve daunting challenges in chip making. A chip is built in layers. Now you have to wire connections in 3-D, taking into consideration layer-to-layer connections called vias.

Read more at Asianometry

Origin of the FOUP

The Race To Zero Defects In Auto ICs

📅 Date:

✍️ Author: Anne Meixner

🏭 Vertical: Automotive, Semiconductor


While semiconductor test engineers are making great strides on isolating fab-generated defects, assembly engineers are quietly focusing attention on improving inspection and processing of equipment data to catch latent defects. This is a big deal for automotive electronics. According to a BMW presentation at the 2017 Automotive Electronics Council reliability workshop, most semiconductor devices fail within the car’s warranty period.

The carmaker noted that 22% of warranty costs are due to electronics and electrical control units. Of those failed parts, BMW said 77% of the failures are semiconductor devices, and 23% of the parts are isolated to active and passive components. Of those semiconductor failures, 48% were due to systematic fails, 24% to test coverage, 15% to random failures, and 6% were retested and did not fail the second time. The failure pareto was also broken down to 41% final test, 24% front-end processing, 22% design, and 12% assembly.

For assembly facilities to deliver 10 dppb quality to their automotive customers, they need to learn from customer returns. This requires investment in assembly equipment data collection and traceability. Latent defects that become activated during the warranty period yet pass electrical test necessitates 100% inspection to screen for these failures. Yet all this investment in more inspection and data collection places a financial strain on traditionally inexpensive assembly operations. There is constructive tension between assembly facilities and their automotive customers, as they are both cost-sensitive. Still, somehow this pathway to 10 dppb will be funded.

Read more at Semiconductor Engineering

AI-Powered Verification

📅 Date:

🔖 Topics: Machine Learning

🏭 Vertical: Semiconductor

🏢 Organizations: Agnisys, Cadence


“We see AI as a disruptive technology that will in the long run eliminate, and in the near term reduce the need for verification,” says Anupam Bakshi, CEO and founder of Agnisys. “We have had some early successes in using machine learning to read user specifications in natural language and directly convert them into SystemVerilog Assertions (SVA), UVM testbench code, and C/C++ embedded code for test and verification.”

There is nothing worse than spending time and resources to not get the desired result, or for it to take longer than necessary. “In formal, we have multiple engines, different algorithms that are working on solving any given property at any given time,” says Pete Hardee, director for product management at Cadence. “In effect, there is an engine race going on. We track that race and see for each property which engine is working. We use reinforcement learning to set the engine parameters in terms of which engines I’m going to use and how long to run those to get better convergence on the properties that didn’t converge the first time I ran it.”

Read more at Semiconductor Engineering

Deep Learning For Industrial Inspection

Where And When End-To-End Analytics Works

📅 Date:

✍️ Author: Anne Meixner

🔖 Topics: Manufacturing Analytics

🏭 Vertical: Semiconductor


To control a wafer factory operation, engineering teams rely on process equipment and inspection statistical process control (SPC) charts, each representing a single parameter (i.e., univariant-based). With the complexities of some processes the interactions between multiple parameters (i.e., multi-variant) can result in yield excursions. This is when engineers leverage data to make decisions on subsequent fab or metrology steps to improve yield and quality.

“When we look at fab data today, we’re doing that same type of adaptive learning,” McIntyre said. “If I start seeing things that don’t fit my expected behavior, they could still be okay by univariate control, but they don’t fit my model in a multi-variate sense. I’ll work toward understanding that new combination. For instance, in a specific equipment my pump down pressure is high, but my gas flow is low and my chamber is cold, relatively speaking, and all (parameters) individually are in spec. But I’ve never seen that condition before, so I need to determine if this new set of process conditions has an impact. I send that material to my metrology station. Now, if that inline metrology data is smack in the center, I can probably disregard the signal.”

Read more at SemiEngineering

The X-Ray Tech That Reveals Chip Designs

📅 Date:

✍️ Authors: Anthony F.J. Levi, Gabriel Aeppli

🏭 Vertical: Semiconductor


When you’re baking a cake, it’s hard to know when the inside is in the state you want it to be. The same is true—with much higher stakes—for microelectronic chips: How can engineers confirm that what’s inside has truly met the intent of the designers? The new version of our X-ray technique, called ptychographic X-ray laminography, can uncover the interconnect structure of entire chips without damaging them, even down to the smallest structures. Using that technique, we could easily discover a (deliberate) discrepancy between the design file and what was manufactured.

Although we can already tell a lot about an IC from just the layout of its interconnects, with further improvements we should be able to discover everything about it, including the materials it’s made of. For the 16-nm-technology node, that includes copper, aluminum, tungsten, and compounds called silicides. We might even be able to make local measurements of strain in the silicon lattice, which arises from the multilayer manufacturing processes needed to make cutting-edge devices.

Read more at IEEE Spectrum

Why The World Relies On ASML For Machines That Print Chips

Metrology Primer

📅 Date:

✍️ Author: Doug Mule

🔖 Topics: Metrology

🏭 Vertical: Semiconductor


The cost of defect failures is starting to spiral out of control, and the cheapest insurance against this is more Metrology and Inspection. One of the changes the industry is adopting is advanced packaging as the primary driver to increasing semiconductor performance. The push to advanced packaging has an entire set of consequences, namely newer packaging technology and a new vector of failure.

Additionally, Metrology and Inspection spending tends to ramp before the rest of the tools, and that is why they should continue to grow so robustly in 2022 given that large fabs are just starting to come online. Metrology and inspection ramps are likely happening currently for the N3 and N5 nodes at TSMC and Intel.

Read more at Fabricated Knowledge

Semiconductor growth through as-a-service models

📅 Date:

🔖 Topics: XaaS

🏭 Vertical: Semiconductor

🏢 Organizations: Accenture


This report examines As-a-Service (AaS) as an increasingly relevant competitive growth model for the semiconductor industry. Originally successful in the software realm, AaS business models are poised to help semiconductor companies fuel growth, boost revenue, innovate faster, and deepen relationships with customers. When planned and implemented correctly, AaS can substantially increase shareholder value and improve predictability of revenue. However, if executed poorly, it can negatively impact a company’s bottom line.

Read more at Accenture Reports

Improving PPA In Complex Designs With AI

📅 Date:

✍️ Author: John Koon

🔖 Topics: Reinforcement Learning, Generative Design

🏭 Vertical: Semiconductor

🏢 Organizations: Google, Cadence, Synopsys


The goal of chip design always has been to optimize power, performance, and area (PPA), but results can vary greatly even with the best tools and highly experienced engineering teams. AI works best in design when the problem is clearly defined in a way that AI can understand. So an IC designer must first see if there is a problem that can be tied to a system’s ability to adapt to, learn, and generalize knowledge/rules, and then apply these knowledge/rules to an unfamiliar scenario.

Read more at Semiconductor Engineering

PHOTOS: There Aren't Enough Chips — Why Are They So Hard to Make?

📅 Date:

✍️ Authors: Caitlin Ochs, Stephanie Aaronson

🏭 Vertical: Semiconductor


From the lab to high above the factory floor, wafers move through a complex process before they are completed. The Wall Street Journal has an interactive slide show documenting the process.

Read more at Wall Street Journal (Paid)

The Extreme Engineering of ASML’s EUV Light Source

Big Payback For Combining Different Types Of Fab Data

📅 Date:

✍️ Author: Anne Meixner

🏭 Vertical: Semiconductor

🏢 Organizations: Advantest, KLA, proteanTecs


Collecting and combining diverse data types from different manufacturing processes can play a significant role in improving semiconductor yield, quality, and reliability, but making that happen requires integrating deep domain expertise from various different process steps and sifting through huge volumes of data scattered across a global supply chain.

Read more at Semiconductor Engineering

How Japan Won Lithography (& Why America Lost)

Chip floorplanning with deep reinforcement learning

Inside Intel’s Bold $26 Billion U.S. Plan To Regain Chip Dominance

What’s Harder to Find Than Microchips? The Equipment That Makes Them

📅 Date:

🏭 Vertical: Semiconductor

🏢 Organizations: TSMC, Intel


We typically associate microchips with the latest and greatest technology, but it turns out that most of the chips that go into the products we use are made with older manufacturing techniques. No one knows precisely what proportion of the world’s microchips is made on used equipment, but Mr. Howe, owner of SDI Fabsurplus, estimates it might be as much as a third.

TSMC is expanding its capacity to make older chips by building a new plant for that purpose in Japan. Intel has no plans to build new capacity for manufacturing older kinds of chips, and continues to concentrate on making bleeding-edge chips, says Lisa Spelman, a vice president in Intel’s data-center group.

Read more at Wall Street Journal (Paid)

The Semiconductor Health and Cancer Problem

How ASML Won Lithography (& Why Japan Lost)

Inside TSMC, the Taiwanese chipmaking giant building a new plant in Phoenix

📅 Date:

🏭 Vertical: Semiconductor

🏢 Organizations: TSMC


Taiwan Semiconductor Manufacturing Company may not be a household name, but with a market value of over $550 billion, it’s one of the world’s 10 most valuable companies. Now, it’s leveraging its considerable resources to bring the world’s most advanced chip manufacturing back to U.S. soil.

CNBC got an exclusive tour of the $12 billion fabrication plant, or fab, in Phoenix, Arizona, where TSMC will start making 5-nanometer chips in 2024. The company says it will produce 20,000 wafers each month.

Read more at CNBC

Economics of the FPGA

📅 Date:

🔖 Topics: FPGA

🏭 Vertical: Semiconductor

🏢 Organizations: Efinix


Successive generations of chips are becoming harder to justify where smaller process geometries become nonlinearly more expensive. An increased integration however, drives up packaging complexity and cost. This increase in complexity grows design time and expense. Because of these factors, the margins with which to gain profit from the volume production of ICs are reducing. First, the increase in competition allows for more consumer choices, which reduces per-product volumes. This increase in competition also reduces the life duration and lifetime volume of products. New compute-intensive nodes and technologies must be increasingly agile, not only to support the changing market demands but also to keep up with upgrading deep-learning models. There is an apparent lack of FPGAs being used for AI applications that sit in the “middle of the road” in terms of complexity, causing designers to rely either on custom chiplets or embedded processors for hardware acceleration. The new FPGA economy paradigm opened by Efinix frees designers to more flexibly innovate in a realm that will deliver revolutionary benefits to society. This once-in-a-lifetime quantum shift in product design possibilities is providing an inflection point away from the dead end of custom silicon and into the customizable blank slate of FPGA fabric.

Read more at EE Times

Moore’s Law Could Ride EUV for 10 More Years

📅 Date:

✍️ Author: Alan Patterson

🔖 Topics: Extreme Ultraviolet Lithography

🏭 Vertical: Semiconductor

🏢 Organizations: ASML


ASML expects that chip makers ramping up production with the new technology initially will use 0.55 NA for a cost-saving single-expose EUV process for advanced wafer layers, while using multi-pattern 0.33 NA along with older lithography technology for more mature nodes. As the single-expose 0.55 NA technology reaches its limits, somewhere around six years from now, ASML predicts that chipmakers will once again resort to multi-patterning to reach even more advanced nodes with higher transistor densities. In the next few years, ASML’s introduction of 0.55 NA tools will help leading semiconductor foundries like TSMC overcome obstacles they are now encountering at the 3nm chip process technology node.

The Dutch company is the world’s lone supplier of EUV equipment. In 2010, ASML shipped the first prototype EUV tool to an undisclosed Asian customer. Semiconductor production today is divided into the EUV “haves” like Taiwan Semiconductor Manufacturing Co. (TSMC), Samsung and Intel, which make advanced chips for customers like Apple, MediaTek and Qualcomm. The EUV “have not” chip makers years ago threw in the towel at leading nodes, jettisoning the associated multi-billion dollar capital expenditures and focusing on improved profits from legacy production lines and products that benefit little or none from process shrinks.

Read more at EE Times

Europe’s new €1.6bn chip plant needs only 10 workers on factory floor

📅 Date:

🏭 Vertical: Semiconductor, Automotive

🏢 Organizations: Infineon


A 60,000 square meter facility built specializing in power semiconductors seeks ease bottlenecks for major automotive clients. The increase in automation solutions has made localized European production of semiconductors possible. By reducing comparable personnel needed to run the facility from 150 to 10 makes the factory cost competitive with factories in Asia.

Read more at Financial Times (Paid)

Fabs Drive Deeper Into Machine Learning

📅 Date:

✍️ Author: Anne Meixner

🔖 Topics: machine learning, machine vision, defect detection, convolutional neural network

🏭 Vertical: Semiconductor

🏢 Organizations: GlobalFoundries, KLA, SkyWater Technology, Onto Innovation, CyberOptics, Hitachi, Synopsys


For the past couple decades, semiconductor manufacturers have relied on computer vision, which is one of the earliest applications of machine learning in semiconductor manufacturing. Referred to as Automated Optical Inspection (AOI), these systems use signal processing algorithms to identify macro and micro physical deformations.

Defect detection provides a feedback loop for fab processing steps. Wafer test results produce bin maps (good or bad die), which also can be analyzed as images. Their data granularity is significantly larger than the pixelated data from an optical inspection tool. Yet test results from wafer maps can match the splatters generated during lithography and scratches produced from handling that AOI systems can miss. Thus, wafer test maps give useful feedback to the fab.

Read more at Semiconductor Engineering

The Big Semiconductor Water Problem

The $150 Million Machine Keeping Moore’s Law Alive

📅 Date:

✍️ Author: @willknight

🔖 Topics: extreme ultraviolet lithography

🏭 Vertical: Semiconductor

🏢 Organizations: ASML


ASML’s next-generation extreme ultraviolet lithography machines achieve previously unattainable levels of precision, which means chips can keep shrinking for years to come.

ASML introduced the first extreme ultraviolet (EUV) lithography machines for mass production in 2017, after decades spent mastering the technique. The machines perform a crucial role in the chipmaking ecosystem, and they have been used in the manufacture of the latest, most advanced chips, including those in new iPhones as well as computers used for artificial intelligence. The company’s next EUV system, a part of which is being built in Wilton, Connecticut, will use a new trick to minimize the wavelength of light it uses—shrinking the size of features on the resulting chips and boosting their performance—more than ever before.

Read more at WIRED

Semiconductor Manufacturing: Making Impossibly Small Features

📅 Date:

✍️ Author: Willy Shih

🏭 Vertical: Semiconductor

🏢 Organizations: Lam Research


Deposition, litho, and etch are interdependent processes tightly linked together. Technology continues to press forward to meet the incredible opportunity of 5G, cloud, and IoT, and these processes enable our customers to go further by reducing feature sizes and improving pattern fidelity to get a better feature. The litho process can produce lines that have some edge roughness, which can have a negative impact on the variability of the devices you make because it affects what are called “critical dimensions,” such as the transistor gate length. We can also take litho printed lines and improve the sidewall smoothness by typically 30% or more.

Read more at Forbes

Aiming for the Top in Industrial AI, SK’s First AI Company Gauss Labs

📅 Date:

🔖 Topics: metrology

🏭 Vertical: Semiconductor

🏢 Organizations: Gauss Labs, SK hynix


Gauss Labs has been developing AI solutions aimed at maximizing production efficiency using the massive amount of data generated at SK hynix’s production sites. SK hynix wishes to make the overall semiconductor production process more intelligent and optimized across all procedures including process management, yield prediction, equipment repair and maintenance, materials measurement, and defect testing and prevention.

Read more at Sk hynix Newsroom

Challenges to Interconnect Scaling at 3nm and Beyond

📅 Date:

✍️ Author: Mehul Naik

🏭 Vertical: Semiconductor

🏢 Organizations: Applied Materials


Interconnects consist of two key metal components: the metal lines that transfer current within the same device layer and the metal vias that transfer current between layers. Pitch reduction narrows the width of both and increases resistance along with the time needed to move signals across distances. It also increases the voltage drop across a circuit, throttling circuit speed and increasing power dissipation.

While transistor performance improves with scaling, the same cannot be said for interconnect metals. In fact, as dimensions shrink, interconnect via resistance can increase by a factor of 10 (see Figure 1). This results in resistive-capacitive (RC) delays that reduce performance. It also increases power consumption. Interconnects consume close to one third of device power and account for more than 75 percent of RC delay, so improving interconnect resistance is the best way to improve overall device performance.

To enable logic scaling to continue, the industry is developing a new architecture called buried power rail with backside power delivery network (see Figure 4). This architecture routes power to the transistor cell from the back side of the silicon wafer, beneath the transistors. The approach is expected to provide three important benefits: improving voltage losses by as much as 7X; allowing the transistor cell area to be scaled by 20-33 percent; and leaving more cell space for the signal lines which also incur resistance from scaling.

Read more at Applied Materials Blog

MacroFab: Driving The Cloud-Based Transformation Of Electronics Manufacturing

📅 Date:

✍️ Author: Marco Annunziata

🔖 Topics: cloud manufacturing

🏭 Vertical: Semiconductor, Computer and Electronic

🏢 Organizations: MacroFab


The company brings cloud-based, manufacturing-as-a-service (MaaS) solutions to the electronics industry. On its platform, companies can upload component designs, obtain quotes, place orders and follow the progress towards delivery. Companies can price and order a wide range of parts and products, from printed circuit boards (PCB) to fully assembled and packaged electronics products.

Read more at Forbes

IBM Unveils World's First 2 Nanometer Chip Technology, Opening a New Frontier for Semiconductors

📅 Date:

🔖 Topics: Lithography

🏭 Vertical: Semiconductor

🏢 Organizations: IBM


IBM (NYSE: IBM) today unveiled a breakthrough in semiconductor design and process with the development of the world’s first chip announced with 2 nanometer (nm) nanosheet technology. Semiconductors play critical roles in everything from computing, to appliances, to communication devices, transportation systems, and critical infrastructure.

The potential benefits of these advanced 2 nm chips could include:

  • Quadrupling cell phone battery life, only requiring users to charge their devices every four days.
  • Slashing the carbon footprint of data centers, which account for one percent of global energy use. Changing all of their servers to 2 nm-based processors could potentially reduce that number significantly.
  • Drastically speeding up a laptop’s functions, ranging from quicker processing in applications, to assisting in language translation more easily, to faster internet access.
  • Contributing to faster object detection and reaction time in autonomous vehicles like self-driving cars.

Read more at IBM Newsroom

AI In Inspection, Metrology, And Test

📅 Date:

✍️ Authors: Susan Rambo, Ed Sperling

🔖 Topics: AI, machine learning, quality assurance, metrology, nondestructive test

🏭 Vertical: Semiconductor

🏢 Organizations: CyberOptics, Lam Research, Hitachi, FormFactor, NuFlare, Advantest, PDF Solutions, eBeam Initiative, KLA, proteanTecs, Fraunhofer IIS


“The human eye can see things that no amount of machine learning can,” said Subodh Kulkarni, CEO of CyberOptics. “That’s where some of the sophistication is starting to happen now. Our current systems use a primitive kind of AI technology. Once you look at the image, you can see a problem. And our AI machine doesn’t see that. But then you go to the deep learning kind of algorithms, where you have very serious Ph.D.-level people programming one algorithm for a week, and they can detect all those things. But it takes them a week to program those things, which today is not practical.”

That’s beginning to change. “We’re seeing faster deep-learning algorithms that can be more easily programmed,” Kulkarni said. “But the defects also are getting harder to catch by a machine, so there is still a gap. The biggest bang for the buck is not going to come from improving cameras or projectors or any of the equipment that we use to generate optical images. It’s going to be interpreting optical images.”

Read more at Semiconductor Engineering

Scaling AI in the sector that enables it: Lessons for semiconductor-device makers

📅 Date:

🏭 Vertical: Semiconductor

🏢 Organizations: McKinsey


Because of their high capital requirements, semiconductor companies operate in a winner-takes-most or winner-takes-all environment. Consequently, they have persistently attempted to shorten product life cycles and aggressively pursue innovation to introduce products more quickly and stay competitive. But the stakes are getting increasingly high. With each new technology node, expenses rise because research and design investments, as well as capital expenditures for production equipment, increase drastically as structures get smaller. For example, research and design costs for the development of a chip increased from about $28 million at the 65 nanometer (nm) node to about $540 million at the leading-edge 5 nm node (Exhibit 1). Meanwhile, fab construction costs for the same nodes increased from $400 million to $5.4 billion.

As companies attempt to increase productivity within research, chip design, and manufacturing while simultaneously accelerating time to market, AI/ML is becoming an increasingly important tool along the whole value chain.

Read more at McKinsey Insights

Run Semiconductor Design Workflows on AWS

📅 Date:

🔖 Topics: Cloud Computing

🏭 Vertical: Semiconductor

🏢 Organizations: AWS


This implementation guide provides you with information and guidance to run production semiconductor workflows on AWS, from customer specification, to front-end design and verification, back-end fabrication, packaging, and assembly. Additionally, this guide shows you how to build secure chambers to quickly enable third-party collaboration, as well as leverage an analytics pipeline and artificial intelligence/machine learning (AI/ML) services to decrease time-to-market and increase return on investment (ROI). Customers that run semiconductor design workloads on AWS have designed everything from simple ASICs to large SOCs with tens of billions of transistors, at the most advanced process geometries. This guide describes the numerous AWS services involved with these workloads, including compute, storage, networking, and security. Finally, this paper provides guidance on hybrid flows and data transfer methods to enable a seamless hybrid environment between on-premises data centers and AWS.

Read more at AWS Technical Guide

Edge-Inference Architectures Proliferate

📅 Date:

✍️ Author: Bryon Moyer

🔖 Topics: AI, machine learning, edge computing

🏭 Vertical: Semiconductor

🏢 Organizations: Cadence, Hailo, Google, Flex Logix, BrainChip, Synopsys, GrAI Matter, Deep Vision, Maxim Integrated


What makes one AI system better than another depends on a lot of different factors, including some that aren’t entirely clear.

The new offerings exhibit a wide range of structure, technology, and optimization goals. All must be gentle on power, but some target wired devices while others target battery-powered devices, giving different power/performance targets. While no single architecture is expected to solve every problem, the industry is in a phase of proliferation, not consolidation. It will be a while before the dust settles on the preferred architectures.

Read more at Semiconductor Engineering

Intel Problems

📅 Date:

✍️ Author: @benthompson

🏭 Vertical: Semiconductor

🏢 Organizations: AMD, Intel, Microsoft, NVIDIA, TSMC


The misplaced optimism is twofold: first there is the fact that eight years later Intel has again appointed a new CEO (Pat Gelsinger), not to replace the one I was writing about (Brian Krzanich), but rather his successor (Bob Swan). Clearly the opportunity was not seized. What is more concerning is that the question is no longer about seizing an opportunity but about survival, and it is the United States that has the most to lose.

Read more at Stratechery (Paid)

Early And Fine Virtual Binning

📅 Date:

✍️ Author: Noam Brousard

🔖 Topics: quality assurance, manufacturing analytics

🏭 Vertical: Semiconductor

🏢 Organizations: proteanTecs


ProteanTecs enables manufacturers to bin chips virtually, in a straightforward and inexpensive way based on Deep Data. By using a combination of tiny on-chip test circuits called “Agents” and sophisticated AI software, chip makers can find relationships between any chip’s internal behavior and the parameters measured during the standard characterization process. Those relationships can be used to measure similar chips’ internal characteristics at wafer sort to precisely predict how chips would perform during Final Test, even before the wafer is scribed.

Read more at Semiconductor Engineering

Early And Fine Virtual Binning

📅 Date:

✍️ Author: Kyle Wiggers

🔖 Topics: funding event

🏭 Vertical: Semiconductor

🏢 Organizations: proteanTecs


ProteanTecs, which provides an AI platform to monitor chip reliability, today closed a $45 million funding round. The company says the fresh capital will bolster its go-to-market strategy and operations as it seeks to scale worldwide.

Chip design and manufacturing is a high-risk, high-reward pursuit. Mistakes made during the earliest phases are often enormously costly — chip fabrication plants cost billions to build. And the most sophisticated hardware can take years to hammer out, with intense speculation about how to optimize the next generation for workloads that might come into vogue.

Read more at VentureBeat